Embedded2013. 11. 6. 02:41

이번에 개발한 보드는 삼성 A.P.를 이용한 보드입니다.



Coretext-A8을 내장한  S5PV210 프로세서입니다.




'Embedded' 카테고리의 다른 글

Embedded Network Switch Board  (0) 2014.01.02
Altera Design Service Network  (0) 2013.12.04
Video wall :: ZEUS 보드를 이용한 Example  (0) 2013.10.21
Android Quick Boot  (0) 2013.10.16
i.MX6 Evaluation Board  (5) 2013.09.01
Posted by GUNDAM_IM
Embedded2013. 10. 21. 18:20


i.MX6 기반의 ZEUS 보드를 사용한 video wall입니다.

extension board를 사용하여서 원하는 대로 화면을 확장할 수 있습니다.


1x2, 2x1, 2x2.... 등등...










'Embedded' 카테고리의 다른 글

Altera Design Service Network  (0) 2013.12.04
삼성 A.P.를 이용한 보드 개발 - Samsung A-8 S5PV210 Processor  (0) 2013.11.06
Android Quick Boot  (0) 2013.10.16
i.MX6 Evaluation Board  (5) 2013.09.01
Altera NIOS-II (5)  (0) 2013.06.29
Posted by GUNDAM_IM
Embedded2013. 10. 16. 17:50

우리회사에서 준비중인 안드로이드 퀵 부팅 솔루션입니다.

현재는 6.5초 정도 걸립니다.


조만간 5초대를 끊을 예정입니다.



'Embedded' 카테고리의 다른 글

삼성 A.P.를 이용한 보드 개발 - Samsung A-8 S5PV210 Processor  (0) 2013.11.06
Video wall :: ZEUS 보드를 이용한 Example  (0) 2013.10.21
i.MX6 Evaluation Board  (5) 2013.09.01
Altera NIOS-II (5)  (0) 2013.06.29
freescale iMX.6  (4) 2013.05.09
Posted by GUNDAM_IM
Embedded2013. 9. 1. 21:40

오래간만에 올리는 보드 소식입니다.


FPGA 기반 보드를 열심히 만들다가 이번에는 AP 기반 보드로 하나를 새로 만들었습니다.


freescale사의 i.MX6 프로세서를 기반으로한 플랫폼 보드입니다.

용도는 다양한 곳에 사용하기 위해서 Core Board와 Base 보드로 분리를 했습니다.


보드 색깔은 평범한 파란색으로 했습니다 

난 골드가 좋은데 제가 안만들어서 쩝.. 

내가 만들어야 골드로 할텐데 어쩔 수 없지요.. 







Features

    ZEUS.iMX6 is Freescale's i.MX6 based CPU module for Embedded system control and multimedia focused applications. 
    The module has on-board expandable upto 4GB DDR3 RAM and optional eMMC flash. 
    With the extreme peripheral integration, the module supports industry latest high performance interfaces such as, PCIe Gen2, Gigabit Ethernet, SATA 3.0, HDMI 1.4 and SDXC etc.
    Support O/S is Android and Linux  


    Highlights

        - ARM Cortex-A9 @ upto 1.2GHz   Quad/Dual/Solo core solution
        - Support 64 bit DDR3-1600
        - OpenGL ES 2.0, OpenVG 1.1 Graphic Acceleration 
        - Multi format HD video encode & decode
        - Readily available fully tested BSP

    Benefits

        Simplified System Design
         - Based on hi performance 32 bit embedded processor core :: cortex-A9
         - Proved reliability platform
         - Develop cost down using ready made solutions

        Very easy development 
         - All of input/output specifications are predefined and ready to use
         - Just select necessary functions
         - Quick time to market solution

        High performance & very small form factor
         - Quad, Dual, Solo core pin compatible
         - Very small form factor (80 mm x 70 mm)
         - Fan less and low power solution


        Support mass production
         - Rich development environment and materials support
         - Long term mass production ( more than 10 years )


    Support O/S
         - Linux
         - Android
         - WIndows CE


    ZEUS Core board

    - Very small foot print :: 80 x 70 mm
    - Pin 2 pin compatible with i.MX6 Quad, Dual, Duallite , Single solutions

    ZEUS Base board
    - Rich system interface

          Connector Interfaces
    • PCIe v2.0  
    • SATA 3.0  
    • Gigabit Ethernet
    • HDMI 1.4
    • Dual LVDS ports
    • USB 2.0 Host
    • USB 2.0 device
    • AC97/I2S Audio  
    • 8-Bit SD/MMC  
    • Debug UART
    • UART x 3 port
    • CSI  
    • I2C  
    • SPI  
    • RGB Interface  
    • CAN  
    • Memory Bus
    • MPI CSI & DSI
    • RGMII Interface
    • ENET Interface
    • GPIO Interface

         Expansion Connector Interfaces (Base board)
    • SD Card Socket
    • LVDS 0/1 Interface
    • Parallel Display I/O
    • SATA
    • Headphone jack
    • Microphone jack
    • Ethernet Port
    • UART
    • GPS
    • DSI
    • HDMI
    • USB Host Interface
    • USB OTG Interface
    • SIM Socket
    • mPCIe Interface



    Brochure 
    (주) 새로 Revision한 보드는 아래 링크에 있습니다.


    'Embedded' 카테고리의 다른 글

    Video wall :: ZEUS 보드를 이용한 Example  (0) 2013.10.21
    Android Quick Boot  (0) 2013.10.16
    Altera NIOS-II (5)  (0) 2013.06.29
    freescale iMX.6  (4) 2013.05.09
    오래간만의 Windows CE  (0) 2013.05.04
    Posted by GUNDAM_IM
    Embedded2013. 6. 29. 22:55

    FIR


    앞서 블럭도가 아래와 같다고 하였다.




    NIOS에서 동작할 때에 FIR DMA가 움직이면서 뭔가르 열심히 한다.


    FIR Transfrom  블럭과 그에 연결된 Read/Write 블럭을 가지고 있다.


    코드는 아래와 같다.


    // fir_dma.v


    // This file was auto-generated as part of a generation operation.

    // If you edit it your changes will probably be lost.


    `timescale 1 ps / 1 ps

    module fir_dma (

    input  wire        clk,                       //        clock.clk

    input  wire        reset,                     //  clock_reset.reset

    input  wire [2:0]  slave_address,             //      control.address

    input  wire [31:0] slave_writedata,           //             .writedata

    input  wire        slave_write,               //             .write

    input  wire        slave_read,                //             .read

    input  wire [3:0]  slave_byteenable,          //             .byteenable

    output wire [31:0] slave_readdata,            //             .readdata

    output wire [31:0] read_master_address,       //  read_master.address

    output wire        read_master_read,          //             .read

    output wire [3:0]  read_master_byteenable,    //             .byteenable

    input  wire [31:0] read_master_readdata,      //             .readdata

    input  wire        read_master_readdatavalid, //             .readdatavalid

    input  wire        read_master_waitrequest,   //             .waitrequest

    output wire [31:0] write_master_address,      // write_master.address

    output wire        write_master_write,        //             .write

    output wire [3:0]  write_master_byteenable,   //             .byteenable

    output wire [31:0] write_master_writedata,    //             .writedata

    input  wire        write_master_waitrequest,  //             .waitrequest

    output wire [2:0]  write_master_burstcount,   //             .burstcount

    output wire        slave_irq                  //          irq.irq

    );


    pipelined_read_burst_write_dma #(

    .DATAWIDTH                 (32),

    .BYTEENABLEWIDTH           (4),

    .ADDRESSWIDTH              (32),

    .FIFOUSEMEMORY             (1),

    .READ_FIFODEPTH            (32),

    .READ_FIFODEPTH_LOG2       (5),

    .WRITE_FIFODEPTH           (32),

    .WRITE_FIFODEPTH_LOG2      (5),

    .WRITE_MAXBURSTCOUNT       (4),

    .WRITE_MAXBURSTCOUNT_WIDTH (3)

    ) fir_dma (

    .clk                       (clk),                       //        clock.clk

    .reset                     (reset),                     //  clock_reset.reset

    .slave_address             (slave_address),             //      control.address

    .slave_writedata           (slave_writedata),           //             .writedata

    .slave_write               (slave_write),               //             .write

    .slave_read                (slave_read),                //             .read

    .slave_byteenable          (slave_byteenable),          //             .byteenable

    .slave_readdata            (slave_readdata),            //             .readdata

    .read_master_address       (read_master_address),       //  read_master.address

    .read_master_read          (read_master_read),          //             .read

    .read_master_byteenable    (read_master_byteenable),    //             .byteenable

    .read_master_readdata      (read_master_readdata),      //             .readdata

    .read_master_readdatavalid (read_master_readdatavalid), //             .readdatavalid

    .read_master_waitrequest   (read_master_waitrequest),   //             .waitrequest

    .write_master_address      (write_master_address),      // write_master.address

    .write_master_write        (write_master_write),        //             .write

    .write_master_byteenable   (write_master_byteenable),   //             .byteenable

    .write_master_writedata    (write_master_writedata),    //             .writedata

    .write_master_waitrequest  (write_master_waitrequest),  //             .waitrequest

    .write_master_burstcount   (write_master_burstcount),   //             .burstcount

    .slave_irq                 (slave_irq)                  //          irq.irq

    );


    endmodule


    pipelined_read_burst_write_dma 를 인스턴스로 받아서 사용한다.

    이 모듈은 project 폴더의 dma 폴더 내에 있다.


    해당 파일의 인터페이스는 아래와 같다.





    인터페이스는 3가지 종류로 Slave로서 내부 레지스터 세팅 및 관련된 데이터 저장을 위한 블럭과


    Memory에서 읽어 오는  read_master

    Memory로 저장하는 Write master가 있다.


    이 블럭의 내부에는 다시 아래와 같이 4개의 블럭이 있다.





    slave the_slave

    latency_aware_read_master the_latency_aware_read_master

    transform_block the_transform_block

    burst_write_master the_burst_write_master


    네개의 블럭으로 구성되어 있다.


    slave the_slave

      - NIOS에서 레지스터를 세팅하거나 데이터를 읽어 들이는 모듈

      - 레지스터나 상태를 읽어들인다. 특별한 이슈는 없다.

     - Avalon-MM ( Memory Mapped )로 버스 인터페이스가 설정된다.

       다음 그림은 Avalon MM의 프로토콜이다.




    latency_aware_read_master the_latency_aware_read_master

     - Memory에서 데이터를 읽어들이는 블럭

     - 읽어들인 데이터는 FIFO에 기록되고 출력이 user data로 FIR 블럭으로 보내어진다.



    transform_block the_transform_block

    - FIR Filter


    burst_write_master the_burst_write_master

    - Memory에 데이터를 Write 하는 블럭

    각 데이터간의 연결은 transform_read/write로 연결하고 있다.

    transform_block the_transform_block은 다시 내부에 curstorm_FIR을 sub block으로 가지고 있다.
    그 sub_block은 원래의 목적인 fir filter로 구현되어 있다.
    filter의 Tab을 파라미터로 주면 그에 맞게 내부에서 곱셈기와 덧셈기를 더 넣어서 생성하고 있다. 



    'Embedded' 카테고리의 다른 글

    Android Quick Boot  (0) 2013.10.16
    i.MX6 Evaluation Board  (5) 2013.09.01
    freescale iMX.6  (4) 2013.05.09
    오래간만의 Windows CE  (0) 2013.05.04
    Altera NIOS-II (4)  (2) 2013.03.18
    Posted by GUNDAM_IM
    Embedded2013. 5. 9. 09:45

    freescale 사의 iMX6 Series로 만든 Embedded Board 입니다.

    전체 보드 사진은 비밀...이고 main processor만 찍어서 올립니다.




    사실 보드는 저희가 만든것이 아니고 기존의 EVM Board입니다.

    아이폰 사진으로 찍었는데 모양이 이쁘게 나와서 올려 봅니다.

    얼렁 보드 만들어서 올리도록 하겠습니다.





    'Embedded' 카테고리의 다른 글

    i.MX6 Evaluation Board  (5) 2013.09.01
    Altera NIOS-II (5)  (0) 2013.06.29
    오래간만의 Windows CE  (0) 2013.05.04
    Altera NIOS-II (4)  (2) 2013.03.18
    Altera NIOS-II (3)  (1) 2013.03.17
    Posted by GUNDAM_IM
    Embedded2013. 5. 4. 03:17

    정말 오래간만에 들어온 Windows CE 프로젝트


    부랴부랴 VBox에 개발 환경을 설치하는데 하루 종일 걸려 버렸다.

    개발 환경 설치가 정말 쉽지 않아졌다.


    우여 곡절끝에 기억을 더듬에서 

    Emulator에 연결하는데 까지 같다.


    완전히 장님 코끼리 만지기 식이다.

    그래도 좋은건 그때 보다 블로그나 회사들이 올린 자료가 엄청 많아 져서

    혼자서 끙끙대지 않아도 대부분의 문제는 누가 이미 겪어 보았다는 점이다.


    아래 사진은 Emulator에 SubProject로 프로그램을 올린 사진

    - SubPrj 프로젝트는 실행해야 나오는데 여기서는 그림에 나오지 않는다.


    기왕이면 Windows CE 7.0이 좋은데 

    6.0으로 해야 하는 상황이 조금 아쉽지만,

    그래도 오래간만의 Win CE 프로젝트라서 좋다.



    'Embedded' 카테고리의 다른 글

    Altera NIOS-II (5)  (0) 2013.06.29
    freescale iMX.6  (4) 2013.05.09
    Altera NIOS-II (4)  (2) 2013.03.18
    Altera NIOS-II (3)  (1) 2013.03.17
    Altera NIOS-II (1)  (0) 2013.03.15
    Posted by GUNDAM_IM
    Embedded2013. 3. 18. 10:47

    Accelerated FIR with Built-In Direct Memory Access Example


    http://www.altera.com/support/examples/nios2/exm-accelerated-fir.html


    NIOS-II 프로세서에 FIR 필터를 연결하여 시스템을 처리하는 Example이다. 

    전체적인 System 그림은 아래와 같다.





    하드웨어의 사양은 아래와 같다.


    • Nios Development Board, Cyclone® II or Stratix® II FPGA Edition
    • Nios II core: Nios II/f debug-enabled, 4 KB I-cache, 2 KB D-cache
    • SSRAM: 2 MB
    • DDR SDRAM: 32 MB
    • Timestamp timer: 10 us resolution
    • JTAG UART
    • Phase-locked loop (PLL)
    • System ID
    • Custom FIR hardware accelerator with Avalon-MM masters
    • Also supports Embedded Systems Development Kit, Cyclone III Edition (3C120) and Nios II Embedded Evaluation Kit, Cyclone III Edition (3C25)


    이번에는 튜토리얼 개념이 아니라 그냥 디자인 파일만 존재한다.

    - 한편으로는 다행이다. 일일이 따라가면서 보는게 쉽지 않았다.


    Design File


    디자인은 아래 파일이다. 

    Accelerated FIR with Built-In DMA Design Example (.zip file)


    압축을 풀으면 아래와 같은 폴더들이 나온다.




    Cyclone II/III Startix II 등에 맞게 디자인 되어 있다.


    ReadMe.txt는 

    - Custom Read-Write Masters Design v2.0 

    을 제목으로 간단 간단한 설명을 한다.

    내용 중에 Instruction으로 간단히 뭘 어떻게 해야 한다고 설명하는 부분이 있다.


    Usage Instructions

    -------------------------------------

    To run the design on Cyclone II 2C35, Stratix II 2s60 RoHS Nios Development Board, Cyclone III Embedded Evaluation Kit (3c25), Cyclone III Embedded Development Kit (3C120).


    1. Extract the archived contents to a location of your choice in harddrive, this is refered as <project_folder>

        압축을 잘(?) 풀고


    2. Open Quartus II software. Click File -> Open Project. Browse to <project_folder> and select the custom_dma.qpf project file.

       - For 3C25 and 3C120, the file names are cycloneIII_3c25_niosII_standard.qpf and cycloneIII_3c120_niosII_video.qpf respectively

       Q-II에서 프로젝트를 연다 





    3. Click Tools -> Programmer to bring up the Quartus II programmer utility. Make sure the custom_dma.sof file is selected. Click on Start to download the .sof 

        to FPGA. (Click on Add File if the sof is not selected)

       - For 3C25 and 3C120, the file names are cycloneIII_3c25_niosII_standard.sof and cycloneIII_3c120_niosII_video.sof respectively.

        다운로드에 대해서 이야기한다.


    4. Open Nios II IDE software. Click File -> Import.




    5. Expand Altera Nios II folder and select Existing Nios II IDE project into workspace, then click Next.





    6. Browse to <project_folder>/software/custom_dma directory and click OK.



    7. Click Finish after that is done.

    8. Repeat steps 4 to 7 again for folder named custom_dma_syslib in the same software directory.

        Note: Please make sure the target ptf file is set to correct path and with correct cpu being selected.


    9. Right click on the project and select Build Project.


    10. Once the project is successfully built, right click the project again and select Run As -> Nios II Hardware. 

          Note: Please make sure the sof file is downloaded to FPGA before running the software. 

                 If this is not done, please repeat step 3. (주의 깊게 다시 해보라는 말이다.)


    For more information on the software code, please refer to the comments written in the source files.



    컴파일을 하면 오류가 아래와 같이 나온다.

    Symbol 'FIR_DMA_BASE' could not be resolved hw_fir.c /custom_dma line 24 Semantic Error


    이렇게 하면 안되고...

    프로젝트를 만들고, 여기에다가 제공된 S/W를 Update해야 한다.

    (1) NIOS-II SBT 를 열고
    여기에서 NIOS-II Application and BSP from Template를 선택




    sopcinfo 파일을 불러들여서 Load를 한다.

    적당하게 프로젝트 이름을 설정하면 된다.



    (주) 위에서 Template는 Blank Project로 선택한다.


    File->Import로 창을 띄우고



    File System을 선택한다.


    그리고 나타난 창에서 C/H/Dat 파일을 선택한다.




    그러면 Project Explorer창에 아래와 같이 나온다.




    이제 빌드를 해본다. 

     

    Project -> Build All


    오류가 발생한다.




    alt_timestamp_freq 등의 함수가 오류가 난다.

    대충 보면 알테라에서 제공하는 라이브러리 함수 같다.

    bsp package에 포함되어 있을 것 같은데 링크가 안된다.


    이전에 정리한 부분을 생각해보면 altera에서 제공하는 라이브러리를 줄일 수 있는 옵션이 있는 것으로 보아서 라이브러리를 필요에 따라서 선택할 수 있는 옵션이 있을 것이다.


    bsp package를 확인


    File->Properties->BSP Editor 선택



    그러면 아래와 같은 창이 나타난다.





    Setting->Common->hal->timestamp_timer


    를 선택한다.


    None으로 되어 있는 값을 sys_clk_timer 로 변경한다.






    그리고 Generate를 선택하여 라이브러리 설정을 바꾼다


    이제 OK를 눌러서 창을 닫고 다시 컴파일을 한다.


    Project->Build all

    을 하면 컴파일이 완료 된다.




    이제 Quartus-II에서 Processing->Start Compilation 으로 하여서 컴파일 하면 

    시간이 좀 흐른뒤에 컴파일이 끝난다.



     


    대충은 된것을 확인했고 다음에는 이 것의 코드를 따라가 본다.


    <NIOS-II> 사용 프로젝트는 중단되어서 다음 기회에 하기로 함.. 더이상 진행하지 않음..


    'Embedded' 카테고리의 다른 글

    freescale iMX.6  (4) 2013.05.09
    오래간만의 Windows CE  (0) 2013.05.04
    Altera NIOS-II (3)  (1) 2013.03.17
    Altera NIOS-II (1)  (0) 2013.03.15
    uC/GUI 데모 그림입니다.  (0) 2010.07.18
    Posted by GUNDAM_IM
    Embedded2013. 3. 17. 19:39

    Hardware Tutorial


    이 장은 튜토리얼에 있는것을 따라가면서 정리하였다.

    Version에 따른 Mismatch도 있어서 확인하면서 진행해야 한다.


    튜토리얼은 


    http://www.altera.com/literature/tt/tt_nios2_hardware_tutorial.pdf 


    이고 

    제공되는 하드웨어 디자인 파일은 


    Design Files for Nios II Hardware Development Tutorial


    에서 참조하여 정리함.


    전체적으로는 하드웨어에서 소프트웨어까지 진행하는 것을 알려준다.

    다만 새로운 하드웨어를 어떻게 정리하는 가에 대해서는 아직 정리가 안되어 있다.




    만들고자 하는 시스템의 블럭도는 위의 그림과 같다.


    Nios II System Development Flow 




    NIOS II 개발 플로우는 3가지로 구분되어서 진행된다.

    (1) 하드웨어 설계 단계

    (2) 소프트웨어 설계 단계

    (3) 시스템 설계 단계


    간단한 시스템의 경우에는 한사람이 다 수행할 것이고 복잡한 시스템에서는 적당히 인력을 나누어서 설계할 것이다.

    소프트웨어 개발에 대한 내용은  Getting Started with the Graphical User Interface 을 참조할 것.


    시스템 분석


    - 하드웨어와 소프트웨어의 분할에 대해서 판단하는 과정

    - 메모리 대역폭

    - NIOS-II를 어떻게 구성 할 것인가 ? ( Fast , Smaller , or... ??)

    - RT/OS를 사용할 것인가 ?

    - 어떤 하드웨어가 더 필요한가 ? 


    뭐 말은 이렇게 하지만 이 자료에서는 그런 세부적인 것에 대해서는 설명이 당연히(?) 없다.



    Defining and Generating the System in Qsys 


    시스템 분석이 되었다고 가정하고,  이 예제에서는 Qsys를 이용해서 NIOS II 프로세서 코아와 메모리 그리고 다른 컴포넌트를 연결하고 설정한다.  이후에 Qsys는 설정된 내용대로, 내부 연결을 자동으로 생성된다.


    Qsys에서 나오는 출력은 아래와 같다.


    Qsys Design File (.qsys)  

       Qsys의 시스템의 하드웨어 구성에 대한 정보를 담고 있다.


    SOPC Information file (.sopcinfo) 

       .qsys 파일에 대한 설명을 가지고 있고 이것은 XML 형태로 되어 있다.

       NIOS II EDS는 .sopcinfo 파일을 사용하여 대상 하드웨어의 소프트웨어를 생성한다.


    HDL File

        당연히 생성되는 HDL File들.. 

        Quartus II에 의해서 컴파일 하여 .sof 파일을 만들어 낸다.



    Integrating the Qsys System into the Quartus II Project 


    Qsys에 의해서 NIOS-II를 생성한 후에, 이것을  Quartus-II 프로젝트에 통합해야 한다. 

    그리고, Quartus-II에 의해서 FPGA 디자인 파일을 만들어 낸다.


    앞의 그림에서 보았듯이 보통은 NIOS-II만 들어가는게 아니고 다른 Logic들도 들어가게 된다. 즉 적당한 로직이나 IP등을 Integrate 한다. 이 튜토리얼에서는 추가 로직을 포함시키는 부분까지는 포함하지는 않는다.


    Quartus-II에서 핀과 I/O를 설정하고 타이밍등을 설정한다. 그렇게 한 후에 컴파일 하여서 sof 파일을 만들고, FPGA를 Configuration 한다.


    Developing Software with the Nios II Software Build Tools for Eclipse 


    NIOS-II의Software Build Tools (SBT)은 Eclipse를 이용해서 NIOS-II의 소프트웨어 개발을 진행하게 된다. Qsys를 이용해서 FPGA 시스템을 생성한 후에 C/C++ 어플리케이션은 Eclipse를 이용해서 만들어간다. 두개의 툴 사이에는 socinfo 라는 파일을 이용해서 정보를 교환한다. 이 파일은 Qsys에서 생성이 된다.


    socinfo를 분석해서 NIOS-II용 프로그램을 빨리 진행 할 수 있는 HAL을 제공한다. 

    그리고, 상황에 따라서 어플리케이션 코드를 라이브러리화 하여서 사용할 수 있도록 하여 준다.


    NIOS-II를 위한 C/C++ 프로젝트를 만들어 내기 위해서 NIOS II SBT는 .sopcinfo 파일을 이용한다.


    NIOS-II의 SBT에서 아래와 같은 출력물을 만들어낸다. 물론 상황에 따라서 만들어내는 것도 있고 아닌것도 있다.


    - system.h file : 하드웨어를 참조할 수 있는 심폴들을 정의한다. NIOS-II SBT는 이 파일을 자동적으로 생성하고 사용자는  

                       이것을 기반으로 BSP를 만들어간다.


    - .elf file  : C/C++ 코드를 컴파일하여 만들어내는 최종 결과 프로젝트이다. 

                  이 코드를 NIOS-II에 직접 다운로드 할 수 있다.


    - .hex file : on chip memory의 초기화 코드이다.  NIOS II SBT는 이 파일을 생성시킨다.

                  ( 그냥 인텔 헥사 파일이고, 이 파일을 이용해서 ROM을 만들어서 FPGA에 넣으면 된다.

                    이 과정을 초기화 코드라고 설명하고 있다. 

                    : 매뉴얼에서 정리한 사람은 Embedded System Software를 잘 알지 못하는 듯.. )


    - Flash memory programming data - 플래쉬 메모리에 데이터를 기록한다. NIOS II SBT는 flash programmer를 가지고 있다 이것은 사용자의 프로그램을 플래쉬메모리에 프로그램 할 수 있게 해준다. 플래쉬 프로그램은 적당한 부트 코드를 추가할 수 있기 때문에, 사용자 프로그램은 플래쉬에서 프로그램을 부팅 할 수 있다.

      ( 이것은 정확한 메카니즘은 모르겠다. 혹시 CRT0를 이야기하는게 아닐까 생각이든다. 

        그냥 부팅하면 되지 부트코드를 만드는 것은 좀 이상하고 그것을 플래쉬 프로그래머가 하는건 더 이상하다.)


    이 예제에서는  .elf 파일을 NIOS-II에 다운로드 하는 것에 집중한다.


    Running and Debugging Software on the Target Board 


    NIOS-II SBT는 프로그램을 다운로드 및 디버깅에 필요한 기능을 다 가지고 있다

    보다 자세한 내용은  Nios II Software Developer’s Handbook.의 Getting Started with the Graphical User Interface 절을 참조  


    Varying the Development Flow 


    개발 프로세스는 그렇게 반드시 해야 하는 것은 아니다. 일반적인 내용으로 진행하면 된다.

    세부적인 사항은 그때 그때 달라요가 된다.


    Creating the Design Example 


    순서는 아래와 같다.


    1. “Install the Design Files” 


      일단 Quartus-II 를 설치하는 것이고 디자인 파일들을 알테라 웹에서 다운 받아서 설치한다.

      Design Files for Nios II Hardware Development Tutorial

      위의 링크에 파일이 있다.


    2. “Analyze System Requirements”.


      NIOS-II 프로세서 시스템의 데모를 간단하게 해보는 것.

      - 왜 문서에서는 말로 설명하지 ?


      실제 시스템을 구성하여서 경험을 쌓는다.

      커스텀 NIOS-II 시스템을 만들어서 테스트 해보기

      어떤 보드에서도 동작 가능한 NIOS-II 시스템을 만들어서 설치

      이런 저런 시스템을 처리


      여기서 만드는 시스템은 아래와 같다.

        - NIOS-II Core/2K Cache

        - 20KB On-Chip Memory

        - Timer

        - JTAG UART

        - PIO

       - System ID Component



    3. “Start the Quartus II Software and Open the Example Project”.


      (1) Quartus-II 프로그램 실행

      (2) Open Existing Project로 하여서 

      (3) design files directory로 가서

      (4) nios2_quartus2_project.qpf를 선택해서, Open 하여서 프로젝트를 오픈





      (5) Block Diagram File을 열기 위해서 nios_quartus2_project.bdf를 이용하여 오픈하기 위해서 

           아래와 같은 순서로 진행한다.

           (a) Open을 선택하여 다이얼로그를 연다.

           (b) Design File Directory에 가서 

           (c) nios2_quartus2_project.bdf를 선택하여 연다. 그럼 아래와 같은 그림이 나타난다.


            



      아래와 같이 창이 나온다.



      Pin만 표시되고 나머지는 텅 비어 있다. 이제 여기에다가 Qsys를 이용해서 로직을 만들어 넣는다.


    4. “Create a New Qsys System”.


       Qsys를 이용해서 NIOS-II 프로세서 시스템을 만든다.  

      새롭게 NIOS-II를 만들러면 Qsys를 클릭해서 창을 띄우고 System Contents tab을 표시한다.


    5. “Define the System in Qsys”.


      Qsys 시스템을 이용해서  NIOS-II 하드웨어를 만든다.

      Qsys는 소프트웨어에 관련된 일은 하지 않는다. 그것은 Qsys에서 생성된 .socinfo를 이용해서 NIOS-II SBT에서 수행한다. 


      5.1 Specify Target FPGA and Clock Settings 


      Clock Setting과 Project Setting Tab을 선택하여, 시스템의 클럭과 디바이스를 선택할 수 있다.


      (1) Project Setting Tab에서

           Device Family 를 선택하고 적당한 Device를 선택한다.






      (2) 보드상에서의 OSC를 보고 주파수를 확인한다. 

            - 이런것 까지 자세하게 설명해 주는 알테라의 친절함.


      (3) Clock Setting Tab에서 

            MHz 컬럼에서 clk_0.clk_0의 clock frequency를 더블 클릭한다. 

            이 클럭은 Qsys의 기본 시스템 클럭이다.

           이 클럭의 값은 FPGA를 드라이빙하는 클럭과 같아야 한다.



      (4) 클럭 값을 넣고 Enter... 


      5.2  Add the on chip memory


      프로세서 시스템은 적어도 하나의 메모리를 가지고 있어야 한다. 이 디자인은 20KB의 On Chip Memory를 사용하여서 데이터와 명령어를 위해 준비한다.  다음과 같은 순서로 더한다.


      (1) Component Library tab에서 

           Memories and Memory controllers를 확장하여, On-Chip으로 해서 On-Chip Memory를 선택한다.

           대개 ROM/RAM이다.



      (2) Add로 하여서

           ROM 또는 RAM을 선택한다.

              파라미터 설정이 나타나고 아래와 같은 창이 나타난다.



      (3)  Block Type은 Auto로

            Total Memory size는 20480으로 해서 20KB로 

            - 그외의 설정은 건드리지 말자 모드 기본 값을 사용.


      (4) Finish


      (5) System contents tab에서 on chip memory의 인스턴스가 나타난다.



      (6) Name 컬럼에서 Rename을 클릭 그리고, onchip_mem을 넣는다.






      5.3 Add the NIOS-II Processor Core


      여기서는 NIOS-II/s 코아를 2KB I Cache를 만든다. 

      설명을 위해서 NIOS-II/s를 만들어서 사용한다. NIOS-II/s는 간단하지만 성능이 잘 나오는 프로세서이다. (라고 주장..)


      (1) Component Library tab에서

            Processors -> NIOS-II Processor를 클릭

            NIOS-II Tab 윈도우가 나타난다.



      (2) NIOS-II Core에서 NIOS-II/s를 선택



      (3) H/W Multiplication type에서는 NONE으로 선택 

           곱셈기가 소프트웨어로 선택


      (4) H/W Div를 Off로 

           나눗셈은 없는 걸로...


      (5) Finish를 선택

            그러면 Qsys System Contents tab에서

            들어가면 NIOS-II가 나타나있지만 오류가 두개 나와 있다. 일단 무시




      (6) Name 컬럼을 선택하고 rename 하고, 이름을 cpu로 설정


      (7) Connections 컬럼에서

            clk 포트를 clk_0클럭에 연결한다.

           마찬가지로 on-chip memory의 clk1 포트에 연결한다.

           연결 크로스 되는 지점에서 원이 채워져 있으면 연결 비워져 있으면 연결이 안된 것으로 표시된다.

           -> Xilinx의 MB와 기본적으로 같은 시스템이다.


      (8) clk_0 클럭 소스의 clk_reset 포트를 on-chip memory에 reset1에 연결, NIOS-II 프로세서의 reset_n에 연결한다.


      (9) on chip memory의 s1 포트를 NIOS-II의 instruction master와 data_master 포트에 연결한다.


      (10) NIOS-II의 프로세서의 reset_n 포트와 on-chip memory의 reset1 포트를 clk0 클럭의 clk_reset 포트에 연결한다.


      (11) NIOS-II 프로세서의 설정 창을 다시 더블클릭해서 창을 연다.

              

      (12) Reset Vector에서 reset vector memory list에서 onchip_mem.s1을 선택하고, Reset vector offset box에서 

              0x0을 넣는다. 

           

      (13) Exception vector에서

              Exception vector memory 리스트에서 on_chip_mem.s1을 선택하고 Exception Vector offset box에서 

             값을 0x20을 넣는다.





       


      (14) Cache and Memory Interface tab을 클릭


      (15) Instruction cache list에서 2KB를 선택


      (16) Burst Transfer는 Disable로


      (17) Number of tightly coupled instruction master port(s)에서 None으로 선택





      그외의 다른 세팅은 건드리지 말고 


      (18) Finish를 선택한다.


      5.4 Add the JTAG UART


      JTAG UART는 NIOS-II와 Host PC간의 인터페이스를 할 수 있다.

      USB-Blaster를 이용해서 통신하게 된다.


      (1) Component Library tab에서 interface protocols -> Serial -> JTAG UART

           를 선택



      (2) Add

          



      위와 같이 하고

      그외의 설정은 건드리지 않는다.


      이름은 jtag_uart로 넣는다.

      JTAG UART의 clk 포트는 clk_0에 연결한다.

      NIOS-II의 data_master 포트를 avalon_jtag_slave 포트에 연결한다.






      5.5 Add the interval timer


      이것도 그냥 앞서와 같이 연결한다.


      Component Library-> Peripherals -> Micro controller peripherals -> Interval timer




      이름은 sys_clk_timer 로 설정




      5.6 Add the System ID peripheral


      Components Library -> Peripherals -> Debug and Performance -> System ID Peripheral


      다른 것은 건드리지 말자




      이름은 sys_id 로 한다.





      5.7 Add the PIO



      Component Library -> Peripherals -> Microcontroller peripherals -> PIO




      이름은 led_pio로 설정


      나머진 잘 연결한다.






      5.8 Specify Base addresses and Interrupt Request Priorities


      이 시점에서 필요한 하드웨어가 전부 다 연결되어 있다. 이제 각 컴포넌트의 상호 동작을 설정하는 작업을 해야 한다.

      이 섹션에서는 각각의 컴퍼넌트의 base address를 설정하고, 인터럽트 우선 순위를 설정한다.


      Qsys는  Assign Base Addresses 커맨드를 통해서 각 컴포넌트의 base address 설정을 할 수 있다.  


      주의 할 점은 

      - NIOS-II 프로세서는 31비트 공간을 사용한다. 따라서 0x0000 0000 ~ 0x7FFF FFFF 을 접근한다.

      - NIOS-II는 Symbolic constant를 이용해서 어드레스를 참조한다.  이 값은 기억하기 쉬운 방식으로 준비되어 있다.

        (누가, 어떻게 ??)

      - Qsys는 연속된 메모리 공간을 꽉꽉 채워서 활용할 필요는 없다. 적당하게 배열하여서 설정하면 된다.





      Qsys는 Assign Interrupt Number 커맨드를 이용하여 IRQ를 할당할 수 있다. 


      NIOS-II HAL에서 낮은 IRQ 값을 높은 우선 순위로 판단한다. 


      적절한 IRQ를 할당은 다음과 같이 한다.


      (1) System menu에서 Assign Base Address를 클릭하고, 

            Base와 End를 설정한다. 

            

      (2) IRQ 컬럼에서,

           NIOS-II를 JTAG UART와 Interval timer와 연결한다.

       

      (3) jtag_uart에서 IRQ값을 설정한다. 여기서는 16으로 설정한다.


      (4) sys_clk_time의 IRQ값은 1로 설정한다.




      설정은 IRQ 컬럼에서 연결을 시키면 작은 박스가 표시되는데 여기에다가 값을 넣으면 된다.


      위와 같이 나온다.


      5.9 Generate the Qsys System


      이제 Generation Tab에서 적당하게 설정하고 Generate를 설정한다.






      이상이 없으면 잘 만들어 내고 에러가 없다.

      이상이 있으면 다시 잘 설정한다.



    6. “Integrate the Qsys System into the Quartus II Project”.


      이제, Qsys에서 만든 Instance를 Quartus II에서 사용하는 과정을 보여준다.


        FPGA 디바이스와 Pin위치를 설정

        Quaruts-II에서 컴파일

       타이밍 확인


      6.1 Instantiate the Qsys System Module in the Quartus II Project

        

      Qsys의 결과물은 system module을 부른다.

      예제에서는  블럭다이어그램으로 처리하기 때문에 이름을  first_nios2_system으로 하여서 .bdf에 통합한다.


      .bfd에 통합하기 위해서 다음 과정을 거친다.


      (1) 블럭도의 화면중 빈 공간에서 더블 클릭해서 심볼 다이얼로그 박스를 오픈한다.

      (2) Libraries -> Project

      (3) first_nios2_system을 선택


      (주) 이름은 그냥 틀려도 Pass 귀찮게 이름까지 맞출 필요는 없다.


      (4) OK를 누르면 다이얼로그가 없어지고 마우스를 이용해서 블럭을 배치할 수 있게 된다.

      (5) 적절한 위치에 배치후 핀들을 연결한다.

      (6) 적당하게 핀들중 없는 핀들은 삭제한다.

            - 마우스를 클릭해서 삭제.. 참 친절한 튜토리얼이다.






      6.2 Add IP Variation File


      Qsys에서 생성된 IP를 Quartus II의 프로젝트에 추가하는 과정이다.

      Qsys에서 .qip 파일을 만들어 내는데 이 파일이 스크립트로 되어 있으며 quartus-II에서 IP로 인식하기 위한 정보를 가지고 있다.


      전체적으로는 프로젝트에 IP를 추가하는 것이어서 Assignment->Setting에서 프로젝트 세팅 정보에 의해서 추가된다. 아래 과정은 그에 대한 추가 과정을 설명한 내용이다.



      1. On the Assignments menu, click Settings . The Settings  dialog box appears.






      2. Under Category , click Files . The Files  page appears.


      3. Next to File name , click the browse (... ) button.

      4. In the Files of type  list, select Script Files (*.tcl, *.sdc, *.qip) .




      5. Browse to locate <design files directory>/first_nios2_system/synthesis/first_nios2_system.qip  and click Open  to select the file.



      6. Click Add  to include first_nios2_system.qip  in the project.

      7. Click OK  to close the Settings  dialog box.



      6.3 Assign FPGA Device and Pin Locations


      앞서의 디바이스 할당은 Qsys에서 할당된 것으로 NIOS-II의 설정에만 영향을 준다.

      여기서는 역시 프로젝트에서 디바이스 할당을 하는 과정을 설명한다.


        1. On the Assignments menu, click Device . The Device  dialog box appears.



       2. In the Family  list, select the FPGA family that matches your board.

       3. Under Target device , select Specific device selected in 'Available devices' list .

      4. Under Available devices , select the exact device that matches your board.

       5. Click OK  to accept the device assignment.




      핀 위치 할당하기


       1. On the Processing menu, point to Start , and click Start Analysis & Elaboration  to prepare for assigning pin locations. 



          The analysis starts by displaying a   “data not available” message and can take several minutes. 

          A confirmation message box appears when analysis and elaboration completes.

       


       2. Click OK .

       3. On the Assignments menu, click Pin Planner . The Quartus II Pin Planner appears.




      아래 그림은 Pin Planner이다.



       4. In the Node Name  column, locate PLD_CLOCKINPUT .

       5. In the PLD_CLOCKINPUT  row, double-click in the Location  cell to access a list of available pin locations.







       6. Select the appropriate FPGA pin that connects to the oscillator on the board.

       7. In the PLD_CLOCKINPUT  row, double-click in the I/O Standard  cell to access a list of available I/O standards.

      8. Select the appropriate I/O standard that connects to the oscillator on the board.

      9. If you connected the LED pins in the board design schematic, repeat steps 4 to 8

      for each of the LED output pins (LEDG[0] , LEDG[1] , LEDG[2] , LEDG[3] ,

      LEDG[4] , LEDG[5] , LEDG[6] , LEDG[7] ) to assign appropriate pin locations.

      10. On the File menu, click Close  to save the assignments.

      11. On the Assignments menu, click Device . The Device  dialog box appears.

      12. Click Device and Pin Options . The Device and Pin Options  dialog box appears.

      13. Click the Unused Pins  page.





       14. In the Reserve all unused pins  list, select As input tri-stated with weak pull-up . With this setting, all unused I/O pins on the FPGA enter a high-impedance state after power-up.


       15. Click OK  to close the Device and Pin Options  dialog box.

       16. Click OK  to close the Device  dialog box.



      6.4 Compile the Quartus II Project and Verify Timing


      이제 컴파일 할 준비가 되었다. 컴파일하여서 sof 파일을 만들어 낸다.

      컴파일한 뒤에 타이밍 정보를 확인한다.


      1. On the File menu, click Open .

      2. In the Files of type  list, select Script Files (*.tcl, *.sdc, *.qip) .

      3. Browse to locate <design files directory>/hw_dev_tutorial.sdc  and click Open . The file opens in the text editor.



      4. Locate the following create_clock  command:

          create_clock -name sopc_clk -period 20 [get_ports PLD_CLOCKINPUT]



       5. Change the period setting from 20 to the clock period (1/frequency) in nanoseconds of the oscillator driving the clock pin.

      6. On the File menu, click Save .

      7. On the Assignments menu, click Settings . The Settings  dialog box appears.

      8. Under Category , click TimeQuest Timing Analyzer .






       9. Next to File name , click the browse (... ) button.

      10. Browse to locate <design files directory>/hw_dev_tutorial.sdc  and click Open  to select the file.

      11. Click Add  to include hw_dev_tutorial.sdc  in the project.



      12. Turn on Enable multicorner timing analysis during compilation .


         

      Multiconer support에 대한 내용이 없고, 다른 카테고리에 그 부분이 있다.

      - 좀더 확인이 필요하다.


      13. Click OK .


      컴파일은 아래와 같은 순서로 진행한다.


       1. On the Processing menu, click Start Compilation . The Tasks window and percentage and time counters in the lower-right corner display progress. The compilation process can take several minutes. When compilation completes, a dialog box displays the message "Full Compilation was successful."



      2. Click OK . The Quartus II software displays the Compilation Report  tab.


        (주) 여기서 Compilation Report Tab의 의미가 좀 헤깔렸다. 

              그냥 Table of Contents가 즉 Navigation panel 자체가 Report Tab을 의미한다.

              이 Contents는 Report에 대한 Contents이다.



      3. Expand the TimeQuest Timing Analyzer  category in the compilation report.

      4. Click Multicorner Timing Analysis Summary .





      5. Verify that the Worst-case Slack  values are positive numbers for Setup , Hold , Recovery , and Removal . If any of these values are negative, the design might not operate properly in hardware. To meet timing, adjust Quartus II assignments to optimize fitting, or reduce the oscillator frequency driving the FPGA.



      이제 겨우 다운로드 할 준비가 되었다.

      - 정말 길다. 에휴




    7. “Download Hardware Design to Target FPGA”.

      SoF파일을 타겟 보드에 다운로드 한다.


       1. Connect the board to the host computer with the download cable, and apply power to the board.

      2. On the Tools menu in the Quartus II software, click Programmer . The Quartus II Programmer appears and automatically displays the appropriate configuration file (nios2_quartus2_project.sof ). 



       3. Click Hardware Setup  in the upper left corner of the Quartus II Programmer to verify your download cable settings. The Hardware Setup  dialog box appears.

      4. Select the appropriate download cable in the Currently selected hardware  list. If the appropriate download cable does not appear in the list, you must first install drivers for the cable.


      5. Click Close .

      6. In the nios2_quartus2_project.sof  row, turn on Program/Configure .

      7. Click Start . The Progress  meter sweeps to 100% as the Quartus II software configures the FPGA.


      이제 구동할 준비가 되어 있지만, 프로그램이 없으니 구동이 안된다. 

      다음 부분에서 프로그램을 준비한다.



    8. “Develop Software Using the Nios II SBT for Eclipse”.


      이제 NIOS-II SBT를 이용하여 간단한 C 코드를 만들고 컴파일해서 구동을 하는 과정을 보여준다.

      다음과 같은 것을 진행한다.


      Create new Nios II C/C++ application and BSP projects.

      Compile the projects.


      프로그램 관련된 일을 진행하기 위해서는 .sofinfo 파일을 가지고 있어야 한다.

      이 파일은 앞서 만들어 두었다.


      8.1 Create a New Nios II Application and BSP from Template

       

       1)      NIOS-II BST를 실행한다.

       2)      Eclipse에서 NIOS-II perspective로 설정한다.

                Window->Open Perspective->Other


      다이얼로그가 나오면 NIOS-II로 설정한다.




      아래 창이 기본적으로 설정된 창이다.





      3) File->New->NIOS II Application and BSP from template 를 선택한다.

         그럼 다이얼로그 박스가 나온다.



      아래 다이얼로그가 나타난다.



       5. Under Target hardware information , next to SOPC Information File name , browse to locate the <design files directory> .

      6. Select first_nios2_system.sopcinfo  and click Open . You return to the Nios II Application and BSP from Template wizard showing current information for the SOPC Information File name  and CPU name  fields.

      7. In the Project name  box, type count_binary .

      8. In the Templates  list, select Count Binary .

      9. Click Finish .



      적당하게 세팅하고 OK를 두르면 된다.


      count_binary—Your C/C++ application project

      count_binary_bsp—A board support package that encapsulates the details of the Nios II system hardware



      8.2 Compile the Project


      현재 빌드된 NIOS-II는 20KB의 메모리 공간만 가지고 있으므로 그에 맞게 시스템을 재 조정해야 한다.


       1. In the Project Explorer view, right-click count_binary_bsp  and click Properties . The Properties for count_binary_bsp  dialog box appears.

      2. Click the Nios II BSP Properties  page. The Nios II BSP Properties  page contains basic software build settings. Figure 1–21  shows the GUI.





      3. Adjust the following settings to reduce the size of the compiled executable:

         a. Turn on Reduced device drivers .

         b. Turn off Support C++ .

         c. Turn off GPROF support .

         d. Turn on Small C library .

         e. Turn off ModelSim only, no hardware support .


      4. Click OK . The BSP regenerates, the Properties  dialog box closes, and you return to the Nios II SBT for Eclipse.

      5. In the Project Explorer view, right-click the count_binary  project and click Build Project .



      8.3 Run the Program on Target Hardware


      이제 드디어 다운로드 한다.


       1. Right-click the count_binary  project, point to Run As , and then click Nios II Hardware . The Nios II SBT for Eclipse downloads the program to the FPGA on the target board and the program starts running.


       When the target hardware starts running the program, the Nios II Console view displays character I/O output. Figure 1–22  shows the output. If you connected

      LEDs to the Nios II system in “Integrate the Qsys System into the Quartus II Project” on page 1–24 , then the LEDs blink in a binary counting pattern.


      2. Click the Terminate  icon (the red square) on the toolbar of the Nios II Console view to terminate the run session. When you click the Terminate  icon, the Nios II SBT for Eclipse disconnects from the target hardware.



      실행 결과를 확인하면 OK



    9. “Run the Program on Target Hardware” on page 1–35









    'Embedded' 카테고리의 다른 글

    오래간만의 Windows CE  (0) 2013.05.04
    Altera NIOS-II (4)  (2) 2013.03.18
    Altera NIOS-II (1)  (0) 2013.03.15
    uC/GUI 데모 그림입니다.  (0) 2010.07.18
    이슈 트래킹 어떻게 하나요 ?  (0) 2010.07.10
    Posted by GUNDAM_IM
    Embedded2013. 3. 15. 11:30

    개요


    알테라사에서 제공하는 NIOS-II 프로세서는 FPGA내에 내장 시킬 수 있는 프로세서이다.

    FPGA에 프로세서를 내장 시킬 필요가 있는 경우가 생기는데 

    그럴때 찾을 수 있는 유용한 프로세서 중 하나이다. 

    - 물론 알테라 FPGA에서 사용할 수 있다.




    프로세서로 기본적인 골격은 당연히 가지고 있고 

    기본 무기 세트도 가지고 있어서 사용할 때에는 맘편하게 구성해서 사용할 수 있다.


    Core는 3가지 모드로 구성할 수 있는데


    1) FAST : 속도 우선 모드 

    2) Economy : 크기 최적화 모드

    3) Standard Mode : 표준 모드


    중 하나를 선택할 수 있다.


    Fast와 Ecomony모드의 크기차이는 2~3배 차이가 난다.

    속도가 필요 없는 경우 굳이 Fast로 할 필요는 없다.



    IPs


    제공되는 IP는 아래와 같다.


    • DMA Controller
    • Scatter Gather DMA Controller
    • SDR SDRAM Controller
    • CFI Flash Controller
    • EPCS Serial Flash Controller
    • JTAG UART Controller
    • UART Controller
    • SPI Controller
    • PIO Controller
    • Mutex Core
    • Mailbox Core
    • Timer Core
    • Vector Interrupt Controller Core
    • Performance Counter
    • Phase-locked loop (PLL)
    • Avalon® Interconnect Components


    모두 기본적으로 제공되므로 무료이다.

    쉽게 쉽게 사용할 수 있다.


    관련된 문서는 여기에서 다운받을 수 있다.

    http://www.altera.com/literature/lit-nio2.jsp


    Cyclon3에 NIOS 올리기 : Sample


    Cyclon3에서 NIOS를 포팅하는 것에 대해서는 AN548 문서에 잘 나와 있다.

    제한 사항은 Active Parallel Configuration을 지원하는 디바이스에서 사용할 수 있다는 부분이다.

    AP는 Flash Memory를 Parallel로 사용하는 경우를 의미한다.


    구성은 아래와 같다.


    이것은 NIOS-II /e 즉 Economy 옵션으로 컴파일한다.

    프로세서는 내부에 있는 메모리에서 부팅하게 된다. 


    Remote Update Controller는 내부 회로를 Remote로 Update할 경우에 사용한다.


    이 시스템은 50MHz입력을 PLL에게 넣고 PLL에서 60MHz와 40MHz 출력을 만들어 낸다.

    60MHz는 내부 컴퍼넌트용 클럭이고 40MHz는 Remote Update Controller용 클럭이다.


    LED 

    Push button PIO

    JTAG UART


    3가지 Peripheral을 내장하고 있다.


    Software flow


    예제용 소프트웨어의 플로우 차트는 아래와 같다.





     

    기본적으로 간단한 프로그램이어서 자세한 설명은 생략



    Example design


    Example Design의 폴더 구조는 아래와 같다.




    디자인은 두가지인데


    application image ex

    factory image ex


    이다. 디자인은 완전히 같고 차이점은 소프트웨어 관련된 부분이다. 

    LED3를 깜박이냐 아니면 LED4를 깜박이냐의 차이점이다.


    Push Button을 누를떄 마다 application image와 factory image가 번갈아서 구성되고 실행된다.

    아래 그림을 참조




    예제 디자인의 실행


    1) 보드와 PC를 USB를 이용하여 연결

    2) NIOS Command Shell을 열어서, 

        (주) NIOS Command shell은 CYGWIN환경이다.

    3) <work_directory>/compact_config/utilities

    로 이동한다.

    4) 보드의 플래쉬에 output.flash 프로그램을 프로그램한다.


     ./program_flash.sh output.flash <programming hardware>


      programming hardware는 보드의 이름이며 프로젝트의 이름이다.

      특별한 이름이 없다면  jtagconfig 으로 한다.


    5. 보드상의  RECONFIGURE  버튼을 눌러서 Flash 프로그램을 완료한다. 잘 되면 LED3가 깜박인다.


    6. nios2-terminal을 열으면 아래와 같은 메시지가 나온다.




    7. 버튼 1을 누르면 app image로 전환된다. 그러면 아래와 같은 메시지가 나오면서 LED4가 깜박인다.



    8. 다시 터미널을 열면 아래와 같은 메시지가 나온다.



    여기서 Button 2를 누르면 Factory Image로 재 configuration 된다.


    이런식으로 예제는 계속 디자인을 옮겨가며 깜박이게 된다.



    디자인을 다시 빌드하기


    (1) Quartus-II에서 

                  <work_directory>/compact_config/ factory_image_ex

         에 있는  

                  niosII_cycloneIII_compact.qpf 

            를 오픈한다.




     (2) Tool Menu에서 

           SOPC Builder

          를 선택한다.



    (주) 알테라 Quartus - 12.1 버전에서는 Qsys로 업그레이드해서 사용하길 권하고 있다.




    여기서는 그냥 진행한다.


    아래와 같은 창이 나타난다.




    (3) SoPC Builder에서 Generate를 선택한다.


    그럼 Platform을 제너레이션 하고 아래와 같은 메시지를 낸다.




    그럼 exit를 눌러서 프로그램을 빠져 나온다.


    (5) 이제 보드에 프로그램을 한다. 다음과 같은 과정을 거쳐서 프로그램한다.

     a) NIOS-II 커맨드 쉘을 열어서 

     b) 아래 폴더로 이동한다.

            <work_directory>/compact_config/factory_image_ex/software/app/factory_image.

     c) 다음 커맨드를 넣는다.

          ./create-this-app



       컴파일하고 위와 같은 log가 나타난다.


       생각보다 많은 파일들을 컴파일한다. (나중에 바꿀려면, 귀찮게스리.. )

       (주) 프로그램 크기를 줄이기 위해서는 “Software Board Support Package (BSP) Settings” 을 참조


       결과로 elf 파일과  ../../../onchip_mem.hex 파일이 만들어진다.

       hex 파일은 flash 프로그램용 파일이다.


    (6) 이제 Quartus-II에서 Start Compiliation을 선택하여 프로젝트 전체를 컴파일한다.



     이 과정은 좀(?) 시간이 걸린다.


     

    (7) 정상적이면 SoF 파일이 생성된다. 이 파일에는 Hex가 포함되어 있다.


    (8) 같은 과정을 application image ex에서도 진행한다. 


    (9) 폴더를 

           <work_directory>/compact_config/utilities

         폴더로 이동한다.


     (10) 두개의 SoF파일 즉 Factory와 Application 파일을 하나의 flash로 합친다.

         아래의 커맨드로 합친다.


     ./flash_convert.sh \

    ../factory_image_ex/niosII_cycloneIII_compact.sof \

    ../application_image_ex/niosII_cycloneIII_compact.sof \

    0x20000 0xA00000


    이제 결과로 output flash가 만들어진다. 이것을 앞서의 과정처럼 프로그램해서 보드에서 테스트 할 수 있다.



    'Embedded' 카테고리의 다른 글

    Altera NIOS-II (4)  (2) 2013.03.18
    Altera NIOS-II (3)  (1) 2013.03.17
    uC/GUI 데모 그림입니다.  (0) 2010.07.18
    이슈 트래킹 어떻게 하나요 ?  (0) 2010.07.10
    1초만에 부팅되는 Embedded Linux  (0) 2010.07.02
    Posted by GUNDAM_IM